Quartus-II安装以及入门使用


Quartus-II安装以及入门使用

一、Quartus-II安装及破解以及器件库的安装

首先下载安装包以及器件库文件以及破解软件,网址如下,版本是13.0.1的

链接:https://pan.baidu.com/s/1I_6-9f0wvEpF_utkTjjsUQ 密码:u6ef

安装

首先打开安装文件

选择自己的安装路径一路直接next即可。

破解

安装完毕后对其进行破解,将破解软件解压后选择对应自己系统版本的那一个放到安装路径的bin路径下运行

如下图所示

点击应用之后会生成一个license文件保存即可。

打开安装好的Quartus软件,注意第一次打开的话就选择那个使用三十天的选项先。

打开之后进入主界面,tool--->license Setup

先在如下位置复制第一串字符串

再打开刚刚通过破解软件生成的license文件,进行如下修改即可,就可以正常使用软件了。

再打开刚刚的内容就可以发现使用日期已经到了2035年了

安装器件库

将下载好的器件库文件也放到软件的bin目录之下,器件库就是刚刚百度网盘里的那个qdz文件。

打开windows菜单栏点击那个Device Installer

选择你器件库放的文件路径,点击安装即可。

二、在Quratus中通过门电路设计一个D触发器

1、设计电路图

首先创建一个新项目

注意在这里选择自己的设备型号,我这里只需要仿真所以就随意选了个

在创建一个结构框图

在菜单栏点击器件的按钮选择器件往结构框图中添加。

添加四个与非门电路

在选择连线工具,对其按照D触发器的样式进行连线。并且添加输入输出

最后我连完的结果如下图所示

点击编译按钮发现编译成功了

2、进行仿真模拟

创建一个波形文件对其进行模拟,点击file->new,在选择VWF文件创建

在添加相应的点

添加完点之后界面如图所示

在对两个输入端创建波形

再对其进行模拟

结果如下图所示,一切正常。

三、通过调用一个D触发器完成二

依然向二中一样创建一个新项目,创建一个新的数据框图。

再打开器件选择的界面,搜索选择一个D触发器放置

给他添加上输入输出,最后结果如图所示

仿真测试

像之前一样添加点创建波形图获得图下图所示结果

四、通过Verilog语言写一个D触发器,进行仿真验证,与3做比较

首先创建一个Verilog语言文件

file->new,选择Verilog HDL File

编写代码,进行编译

module dwave(d,clk,q);
    input d;
    input clk;
    output q;

    reg q;

    always @ (posedge clk)//我们用正的时钟沿做它的敏感信号
    begin
        q <= d;//上升沿有效的时候,把d捕获到q
    end
endmodule

再次通过RTL Viewer查看电路图

最后再按照之前的步骤进行仿真调试,先创建VWF文件再添加点,再编辑波形,进行仿真,最后结果如下

相关