$display, $write


资料来源:

(1) 硅芯思见:【108】聊点$display的一点事情 (qq.com)

1.$display

1.1$display的使用格式

(1) $display(format,p0,p1,...,pn),其中format用于描述输出格式,p0-pn为待display的内容;

1.2$display与$write的区别

(1) $display与$write都可以用于显示信息,但是$display在显示完相关信息后自动换行,而$write不会,$write需要额外使用"\n"进行换行;

1.3$display的注意事项

(1)在system verilog和verilog中,目前暂不支持通过$display直接输出显示unpacked array, unpacked struct和unpacked union等类型的数据;对于packed类型的数据,可以通过$display直接输出显示;

注:在上述例子中,输出数据st_sp没有指定具体的输出格式,所以输出时会按照数据的存储方式进行输出;示例中采用的packed方式顺序存放数据,即2'b10,2'b01,2'b11,此时输出的数据将会按十进制的格式显示出来,即十进制的39;

(2)在system verilog和verilog中,$display中要输出的元素如果没有指定对应的格式符,那么这些元素默认按照十进制方式输出;

 

 

相关