【友晶科技Terasic】Modelsim 提示# ERROR: No extended dataflow license exists Instantiation of 'RAM


用modelsim 仿真ram遇到提示:

# ERROR: No extended dataflow license exists 

再往下滑动找到error提示:

Instantiation of 'RAM' failed. The design unit was not found

 原来我在工程里面的测试对象是ram,但是我在测试的testbench文件里面例化时用的是RAM,所以修改下代码:

保存就不再报错,波形图可以出来。